Welcome![Sign In][Sign Up]
Location:
Search - VHDL control VGA

Search list

[VHDL-FPGA-VerilogVga

Description: VHDL code to digitally control the interface with a VGA display. Code is technologically independent and can be prototyped in any programmable device or ASIC.
Platform: | Size: 2048 | Author: celia | Hits:

[VHDL-FPGA-Verilogbc6502

Description: VHDL实现的一个完整版的6502CPU硬件描述代码,包含了6502CPu的所有功能,附带VGA驱动以及输入输出控制-VHDL implementation of a full version of 6502CPU hardware description code, and includes all the features of 6502CPu, incidental VGA driver, as well as input and output control
Platform: | Size: 36864 | Author: Beijing | Hits:

[VHDL-FPGA-VerilogVGAPWM

Description: FPGA PWM control and VGA display use VHDL language with simulation.
Platform: | Size: 309248 | Author: xiaominjin | Hits:

[VHDL-FPGA-VerilogVGA_controller

Description: VGA显示控制的VHDL源码,可控制VGA显示器RGB三色,显示出各种图案。-VGA display and control of the VHDL source code, can control the RGB three-color VGA display, showing a variety of patterns.
Platform: | Size: 395264 | Author: ilovethisgam | Hits:

[VHDL-FPGA-VerilogProject

Description: 基于SOPC实现的俄罗斯方块,用VGA来做显示,PS2键盘来控制-SOPC-based implementation of Tetris, to do with the VGA display, PS2 keyboard to control the
Platform: | Size: 13156352 | Author: lingruinin | Hits:

[VHDL-FPGA-VerilogPOTS.tar

Description: Pivoting Object Tracking System - This project implements an object recognition system, where a camera tracks the position of an object. The camera is mounted on an iRobot Create two-wheeled robot, which rotates according to the control signal generated by our object tracking algorithm. Meanwhile, it displays 320200 color video on a VGA display.We use a simple object recognition algorithm based on color information of the image coming from the camera. In our tests, the system is able track objects of single colors such as white, red, orange or blue if there is sufficient contrast between the object and background.
Platform: | Size: 10240 | Author: Dang Tien Dat | Hits:

[VHDL-FPGA-Verilogk

Description: code VHDL for control VGA on spartan 3e
Platform: | Size: 3728384 | Author: quang | Hits:

[VHDL-FPGA-Verilogvga_latest.tar

Description: VGA Driver in vhdl, control of RGB video secuence
Platform: | Size: 84992 | Author: karlv | Hits:

[VHDL-FPGA-Verilogvga_gen

Description: VGA Control with VHDL in Altera DE0 Board
Platform: | Size: 11264 | Author: fatih | Hits:

[VHDL-FPGA-Verilogvhdl-vga

Description: VGA 用FPGA驱动VGA显示器并控制部分及横条、竖棋盘格-VGA monitor with a VGA driver and control the FPGA part and the bar, vertical checkerboard
Platform: | Size: 1024 | Author: 杨宇 | Hits:

[VHDL-FPGA-VerilogVGA

Description: VGA显示控制模块VHDL描述,行场计数器地址接入R、G、B信号端可以显示彩条-VHDL description of VGA display and control module
Platform: | Size: 1024 | Author: cs | Hits:

[VHDL-FPGA-Verilogvgaz2

Description: 用VHDL实现VGA信号控制的源代码 状态机 -VHDL implementation of the VGA signal with source code control state machine
Platform: | Size: 1024 | Author: keyCSky | Hits:

[VHDL-FPGA-VerilogIMGcolor

Description: 采用VHDL语言写的VGA屏幕显示控制程序,通过电阻分压网络可以显示256种颜色,并可以在屏幕上移动。-Using VHDL language VGA screen display control program can display 256 colors, and can move on the screen through a resistor divider network.
Platform: | Size: 136192 | Author: binxie | Hits:

[Picture Viewervga_core

Description: Code VHDL for control VGA FPGA: Xilinx, Altera
Platform: | Size: 1024 | Author: NgocAnh | Hits:

[VHDL-FPGA-VerilogVGA_control

Description: 介绍用VHDL实现vga控制的原理及方法,并提供了一个实例-Introduced the use of VHDL realization of a vga control principles and methods, and provides an example
Platform: | Size: 2118656 | Author: | Hits:

[VHDL-FPGA-Verilogvhdl

Description: starter-3E板载vga端口驱动,并显示800*640的图像-Using PICOBLAZE to control the VGA Display on the Spartan-3E Starter Board
Platform: | Size: 6144 | Author: SHI Tou | Hits:

[VHDL-FPGA-VerilogVHDL

Description: VGA图像显示控制器设计.基于VHDL描述的VGA显示控制器,通过FPGA控制CRT显示器显示色彩和图形。完成的功能包括64种纯色的显示、横向和纵向彩色条幅的显示以及正方形色块的运动与控制。-VGA image display controller design based on VHDL description of a VGA display controller, FPGA control CRT display color and graphics. Completed features include 64 kinds of solid color display, horizontal and vertical colored banners displayed and square patches of motion and control.
Platform: | Size: 958464 | Author: 李丛阳 | Hits:

[3D GraphicFPGA---VGA

Description: 里面包含了基于VHDL语言的VGA的图片控制实现的全部代码-Which contains the VHDL language based on the VGA picture control to achieve the full code
Platform: | Size: 5120 | Author: wuyuezhen | Hits:

[Other GamesPingpong

Description: A Altera DE-2 ping pong game which using a PS/2 keyboard to control.VGA port of DE-2 will be the output of the game video.The sources code build from VHDL code on Quartus II.-A Altera DE-2 ping pong game which using a PS/2 keyboard to control.VGA port of DE-2 will be the output of the game video.The sources code build from VHDL code on Quartus II.
Platform: | Size: 18709504 | Author: kkddaa | Hits:

[VHDL-FPGA-Verilogvga

Description: vga This details a VGA controller component that handles VGA signal timing, written in VHDL for use with CPLDs and FPGAs. Figure 1 illustrates a typical example of the VGA controller integrated into a system. As shown, the VGA controller requires a pixel clock at the frequency of the VGA mode being implemented. It then derives all of the signal timing necessary to control the interface. It outputs the current pixel coordinates to allow an image source to provide the appropriate pixel values to the video DAC, which in turn drives the VGA monitor’s analog inputs. It also provides the sync signals for the VGA monitor. This component was designed using Quartus II, version 12.1. Resource requirements depend on the implementation.-This details a VGA controller component that handles VGA signal timing, written in VHDL for use with CPLDs and FPGAs. Figure 1 illustrates a typical example of the VGA controller integrated into a system. As shown, the VGA controller requires a pixel clock at the frequency of the VGA mode being implemented. It then derives all of the signal timing necessary to control the interface. It outputs the current pixel coordinates to allow an image source to provide the appropriate pixel values to the video DAC, which in turn drives the VGA monitor’s analog inputs. It also provides the sync signals for the VGA monitor. This component was designed using Quartus II, version 12.1. Resource requirements depend on the implementation.
Platform: | Size: 219136 | Author: jiang nan | Hits:
« 1 2 34 »

CodeBus www.codebus.net